accounting being saved in detail files with := attribute

Joe Maimon jmaimon at ttec.com
Fri Sep 25 17:43:43 CEST 2009



Alan DeKok wrote:
> Joe Maimon wrote:
>> I recall this being brought up here recently, but I dont know if there
>> is a fix in yet.
>>
>> Anyways I have these pair of patches for the problem, encountered when
>> using detail_reader, since it skips all AVP's with += or :=
> 
>   Ah... rather than mucking with the library and server core, it's
> easier to fix the detail file reader.  I've committed a fix which makes
> it care less about operators.
> 
>   Alan DeKok.
> -

Yes, I saw. Thank you.

I will continue to utilize the patch for the library and server core, as 
I believe the patched behavior to be fundamentally correct and I am 
willing to take one for the team should I be wrong.






More information about the Freeradius-Devel mailing list